正在閱讀:

火拼先進(jìn)封裝,臺(tái)積電英特爾三星急了

掃一掃下載界面新聞APP

火拼先進(jìn)封裝,臺(tái)積電英特爾三星急了

蘋果拼裝芯片只是前奏,3月已被先進(jìn)封裝刷屏。

文 | 芯東西 高歌

編輯 | Panken

芯東西3月17日報(bào)道,本周二,英特爾宣布在歐盟投資超過330億歐元,除了芯片制造外,還將在意大利投資高達(dá)45億歐元的后端制造設(shè)施。據(jù)悉,該工廠將“采用新技術(shù)和創(chuàng)新技術(shù)”為歐盟提供產(chǎn)品。

事實(shí)上3月以來,英特爾、臺(tái)積電、三星在先進(jìn)封裝上的動(dòng)作就反復(fù)刷屏。

3月初,英特爾、臺(tái)積電、三星和日月光等十大巨頭宣布成立通用芯片互連標(biāo)準(zhǔn)——UCIe,將Chiplet(芯粒、小芯片)技術(shù)標(biāo)準(zhǔn)化。這一標(biāo)準(zhǔn)同樣提供了“先進(jìn)封裝”級的規(guī)范,涵蓋了EMIB和InFO等所有基于高密度硅橋的技術(shù)。

UCIe成立的同一天,英國AI芯片創(chuàng)企Graphcore推出IPU產(chǎn)品Bow。該芯片通過采用臺(tái)積電的3D封裝技術(shù),在完全不改變軟件和芯片內(nèi)核的情況下,將運(yùn)算速度提升了40%并降低了16%的功耗。

▲Graphcore IPU芯片中的封裝示意圖(圖片來源:IEEE)

上周日,韓媒也爆出,三星電子在DS(半導(dǎo)體事業(yè)暨裝置解決方案)事業(yè)部內(nèi)新設(shè)立了測試與封裝(TP)中心。韓媒認(rèn)為,該中心的設(shè)立和人員調(diào)整,或意味著三星電子將加強(qiáng)先進(jìn)封裝投資,確保在后端領(lǐng)域上領(lǐng)先于臺(tái)積電。

甚至就連月初蘋果春季發(fā)布會(huì)上重磅芯片M1 Ultra的架構(gòu)背后,也有著臺(tái)積電第五代CoWoS Chiplet先進(jìn)封裝技術(shù)。

▲蘋果公司Chiplet專利與M1 Ultra(參考專利US 20220013504A1)

事實(shí)上,隨著摩爾定律臨近極限,先進(jìn)封裝已成為提升芯片性能的重要路徑之一。

根據(jù)法國市場咨詢公司Yole Developpement最新的2021年年度高端封裝報(bào)告,英特爾等市場龍頭在先進(jìn)封裝上的資本支出約為119億美元,第一名、第二名和第四名分別是英特爾、臺(tái)積電和三星電子三大芯片制造巨頭,其支出占比之和達(dá)67%。

▲2021年七大廠商的高端封裝支出(圖片來源:Yole)

雖然現(xiàn)代半導(dǎo)體行業(yè)形成了設(shè)計(jì)、制造和封裝等環(huán)節(jié),但是在最先進(jìn)的封裝技術(shù)上,三大芯片制造巨頭正在掌握最主要的話語權(quán),其先進(jìn)封裝技術(shù)布局已進(jìn)入關(guān)鍵節(jié)點(diǎn)。

01.臺(tái)積電:2011年入局先進(jìn)封裝2.5D封裝技術(shù)搶下蘋果訂單

作為晶圓制造龍頭,臺(tái)積電也是最早開始布局先進(jìn)封裝的上游廠商之一。早在2011年,臺(tái)積電的余振華就面對媒體放聲:“封測廠已經(jīng)跟不上晶圓代工的腳步了,摩爾定律都開始告急了,我們與其在里面干著急,不如做到外面去?!?/p>

余振華早在1994年就加入了臺(tái)積電,現(xiàn)在已是臺(tái)積電Pathfinding for System Integration副總經(jīng)理,是臺(tái)積電先進(jìn)封裝技術(shù)的具體負(fù)責(zé)人。

在2011年第二季度的法說會(huì)上,時(shí)任臺(tái)積電董事長兼首席執(zhí)行官的張忠謀公開了臺(tái)積電的先進(jìn)封裝進(jìn)度。他提到臺(tái)積電已經(jīng)完成了一個(gè)完整子系統(tǒng)的制造和封裝,其硅中介層(silicon interposer)解決方案將封裝數(shù)量從9減少到1,減小了芯片體積和功率,提升了內(nèi)存帶寬和系統(tǒng)速度。

同時(shí),臺(tái)積電也首次向投資者披露了BOT封裝專利產(chǎn)品,將襯底的凸點(diǎn)間距從140微米減小到100微米,還顯著節(jié)省了封裝成本。

當(dāng)年第三季度法說會(huì),臺(tái)積電正式宣布要做CoWoS等先進(jìn)封裝技術(shù)。張忠謀特意強(qiáng)調(diào),臺(tái)積電在這一領(lǐng)域的商業(yè)模式:一是提供頂尖邏輯晶圓制程、晶圓測試(wafer sort)和微封裝,二是提供后端集成解決方案、中介層晶圓(interposer wafer)、最終的封裝和測試。張忠謀稱:“我們不打算只出售(CoWoS的)中介層?!?/p>

在CoWoS技術(shù)推出后,2012年FPGA龍頭賽靈思的產(chǎn)品就用到了這一技術(shù)。此后,華為海思、英偉達(dá)、博通等廠商的芯片中都應(yīng)用到了臺(tái)積電的CoWoS封裝技術(shù)。

如今十余年過去,CoWoS已發(fā)展到第五代,臺(tái)積電已將自身的先進(jìn)封裝技術(shù)整合為了3DFabric技術(shù)平臺(tái),包含臺(tái)積電前端的SoIC技術(shù)和后端CoWoS、InFO封裝技術(shù)。

▲臺(tái)積電3DFabric技術(shù)平臺(tái)(圖片來源:臺(tái)積電2021 HotChips論壇PPT)

據(jù)悉,最早推出的CoWoS是一種基于TSV(硅通孔)的封裝技術(shù),由于這種技術(shù)能夠靈活地適應(yīng)SoC、小芯片和3D堆棧等多個(gè)類型的芯片,因此被主要用于高性能計(jì)算(HPC)和人工智能(AI)計(jì)算領(lǐng)域。

如今CoWoS是使用最廣泛的2.5D封裝技術(shù),英偉達(dá)、博通、谷歌、亞馬遜、NEC、AMD、賽靈思、Habana等公司的產(chǎn)品都采用了這一技術(shù)。絕大多數(shù)使用HBM的高性能芯片,包括大部分創(chuàng)企的AI訓(xùn)練芯片都是應(yīng)用了CoWoS技術(shù)。

CoWoS可以分為CoWoS-S、CoWoS-R和CoWoS-L三種。

臺(tái)積電稱,CoWoS-S可以為高性能計(jì)算應(yīng)用提供最佳的性能和最高的晶體管密度;CoWoS-R則更強(qiáng)調(diào)小芯片間的互連,利用RDL(重新布線層)實(shí)現(xiàn)最小4μm的布線;CoWoS-L則是最新的CoWoS技術(shù),結(jié)合了CoWoS-S和InFO兩種技術(shù)的優(yōu)點(diǎn),使用RDL與LSI(本地硅互連)進(jìn)行互連,具有最靈活的集成性。

▲臺(tái)積電CoWoS-S封裝技術(shù)(圖片來源:臺(tái)積電2021 HotChips論壇PPT)

InFO具有高密度的RDL,可用于移動(dòng)、高性能計(jì)算等需要高密度互連和性能的應(yīng)用。

InFO分為InFO_PoP和InFO_oS,前者是行業(yè)中首款3D晶圓級扇出封裝,可應(yīng)用在移動(dòng)手機(jī)的AP和DRAM上;后者具有更高密度的RDL,可集成多個(gè)用于5G網(wǎng)絡(luò)的邏輯芯片。

▲臺(tái)積電InFO_PoP和InFO_oS封裝技術(shù)示意圖(圖片來源:臺(tái)積電官網(wǎng))

相對來說,CoWoS的性能更好,但成本較高;InFO則采用RDL(重新布線層)代替硅中介層,無須TSV,性價(jià)比更高。這一技術(shù)還幫助臺(tái)積電搶下了如今其第一大客戶蘋果的訂單。

事實(shí)上,2007年蘋果的第一款智能手機(jī)芯片便是由三星進(jìn)行代工。2011年,在蘋果和三星因Galaxy S手機(jī)外形問題鬧上法庭之際,蘋果A系列芯片的主要供應(yīng)商仍是三星。不過,隨著蘋果和三星關(guān)系的惡化以及臺(tái)積電代工制程功耗、良率更加穩(wěn)定,臺(tái)積電成為了蘋果的主要供應(yīng)商。

2016年,臺(tái)積電開始為蘋果提供前后段整合服務(wù),僅花InFO和光罩上的資本支出達(dá)10億美元。據(jù)熟悉臺(tái)積電的人士透露,由于InFO技術(shù)的產(chǎn)品更符合蘋果要求,臺(tái)積電才能拉開和三星的差距,長期獨(dú)占蘋果iPhone芯片訂單。

整體來說,倒裝芯片(Flip chip)、2.5D/3DIC和SoIC等技術(shù)的封裝密度依次升高。

▲臺(tái)積電Flip Chip、2.5D/3DIC、SoIC等封裝技術(shù)封裝密度和鍵合間距

相比CoWoS和InFO技術(shù),SoIC可以提供更高的封裝密度和更小的鍵合間隔。

SoIC是臺(tái)積電異構(gòu)小芯片封裝的關(guān)鍵,具有高密度垂直堆疊性能。臺(tái)積電稱,該技術(shù)可幫助芯片實(shí)現(xiàn)高性能、低功耗和最小的RLC(電阻、電感和電容)。

從特點(diǎn)上講,SoIC技術(shù)支持不同芯片尺寸、功能和制程節(jié)點(diǎn)的異構(gòu)集成,能夠直接實(shí)現(xiàn)晶圓對晶圓結(jié)合,且沒有突起的鍵和結(jié)構(gòu)。臺(tái)積電認(rèn)為,該技術(shù)較行業(yè)中的其他先進(jìn)封裝技術(shù),具有更小的外形尺寸、更高的帶寬、更好的電源完整性、信號完整性和更低的功耗等優(yōu)點(diǎn)。

更重要的是,SoIC和CoWoS/InFO可以共用,基于SoIC的CoWoS或InFO封裝將會(huì)帶來更小的芯片尺寸,實(shí)現(xiàn)多個(gè)小芯片集成。

▲臺(tái)積電SoIC技術(shù)示意圖(圖片來源:臺(tái)積電官網(wǎng))

02.三星:三星電機(jī)發(fā)起四大技術(shù)布局先進(jìn)封裝

三星電子先進(jìn)封裝布局則源自子公司三星電機(jī),并和安靠(Amkor)等封測廠商進(jìn)行合作。

競爭蘋果A系列處理器訂單失利后,三星電子在2015年建立了特別工作小組,以三星電機(jī)為主力,開發(fā)出了第一代面板級扇出型封裝(FOPLP)。

該技術(shù)最先用于Galaxy Watch智能手表。通過FOPLP技術(shù),三星將Galaxy Watch的電源管理電路(PMIC)、應(yīng)用處理器和動(dòng)態(tài)隨機(jī)存儲(chǔ)(DRAM)集成在了同一個(gè)大型封裝中。

▲Galaxy手表及Exynos 9110拆解與逆向分析(圖片來源:MEMS)

據(jù)韓媒報(bào)道,盡管三星電機(jī)在2019年之前投資4億美元研發(fā)先進(jìn)封裝,但其投資力度仍顯不足。因此三星電子進(jìn)行內(nèi)部收購,或?qū)⑷请姍C(jī)的PLP事業(yè)部歸入了自身,以重奪蘋果訂單。

不過從三星電子在先進(jìn)封裝領(lǐng)域的最新動(dòng)態(tài)來看,子公司三星電機(jī)仍是其先進(jìn)封裝版圖的重要組成。

具體來說,三星的先進(jìn)封裝包括I-Cube、X-Cube、R-Cube和H-Cube四種方案。

▲三星電子I-Cube(左上)、X-Cube(右上)、R-Cube(左下)和H-Cube(右下)四種先進(jìn)封裝方案(圖片來源:三星官網(wǎng))

I-Cube包括基板-芯片(CoS)或晶圓-芯片(CoW)兩種工藝,是采用硅中介層的2.5D封裝方案,能夠?qū)⒁粋€(gè)或多個(gè)邏輯裸片(CPU、GPU等)和多個(gè)高帶寬內(nèi)存(HBM)裸片水平集成在硅中介層上,“I-Cube4”已經(jīng)在去年5月推出,和臺(tái)積電的CoWoS-S技術(shù)類似,主要的封裝客戶為百度。

R-Cube為三星的低成本2.5D封裝方案,采用高密度的RDL技術(shù),較I-Cube具有更快的周轉(zhuǎn)時(shí)間和更好的信號/電源完整性,設(shè)計(jì)靈活性較好。

X-Cube是三星的3DIC封裝方案,包括晶圓-芯片(CoW)、晶圓-晶圓(WoW)和硅通孔(TSV)技術(shù),具備更高密度的集成和更大的尺寸縮放。

H-Cube則是三星電子在2021年11月最新推出的2.5D封裝解決方案,專用于需要高性能和大面積封裝技術(shù)的高性能計(jì)算(HPC)、人工智能(AI)、數(shù)據(jù)中心和網(wǎng)絡(luò)產(chǎn)品等領(lǐng)域。

▲三星H-Cube封裝解決方案(圖片來源:三星)

三星電子晶圓代工市場戰(zhàn)略部高級副總裁Moonsoo Kang稱,該解決方案是由三星電機(jī)和安靠(Amkor)公司共同開發(fā)。Amkor全球研發(fā)中心高級副總裁也認(rèn)為這次合作,是晶圓代工廠和OSAT(封測)公司合作的成功案例。

對于自己的先進(jìn)封裝產(chǎn)品,三星電子提供了兩種商業(yè)模式。第一種,其客戶可以選擇三星電子晶圓代工部門的封裝產(chǎn)品或安靠等封測合作伙伴產(chǎn)品;第二種,客戶則可以移交COT(客戶擁有的工具)、COPD(客戶擁有的物理設(shè)計(jì))模型獲得。

上周日,據(jù)韓媒報(bào)道,三星電子在DS(半導(dǎo)體事業(yè)暨裝置解決方案)事業(yè)部內(nèi)新設(shè)立了測試與封裝(TP)中心,意圖與臺(tái)積電在先進(jìn)封裝領(lǐng)域進(jìn)行競爭。

03.英特爾:下一代Foveros技術(shù)2023年量產(chǎn)AWS成首個(gè)IFS封裝客戶

和臺(tái)積電、三星類似,英特爾的先進(jìn)封裝技術(shù)同樣包括2.5D和3D的封裝技術(shù)。不過不同于三星和臺(tái)積電,英特爾一直都有自己的封測業(yè)務(wù)。

2003年,英特爾宣布在中國成都投資建設(shè)封裝廠,2005年該廠投產(chǎn)。之后,英特爾逐漸將封測業(yè)務(wù)逐漸向中國轉(zhuǎn)移。

2014年以前,英特爾就有了2.5D封裝技術(shù)嵌入式多裸片互連橋接(Embedded Multi-die Interconnect Bridge,EMIB)。英特爾稱,該技術(shù)不同于其他2.5D封裝技術(shù),不采用大型硅中介層,而是使用非常小的bridge die,具有更好的經(jīng)濟(jì)性。

▲英特爾EMIB示意圖(來源:英特爾)

2014年,英特爾開放代工業(yè)務(wù),其先進(jìn)封裝布局開始向外界披露。

在EMIB正式披露后不久,當(dāng)時(shí)英特爾代工業(yè)務(wù)的重磅客戶、FPGA龍頭Altera推出了行業(yè)中第一款異構(gòu)系統(tǒng)級封裝芯片,集成了SoC、Stratix10 FPGA和SK海力士的HBM2。

這顆芯片利用英特爾的EMIB技術(shù),實(shí)現(xiàn)了DRAM與FPGA的互連問題,初步向外界展示了英特爾先進(jìn)封裝的性能。自2017年至今,英特爾的EMIB產(chǎn)品一直在出貨且不斷迭代。

2018年,英特爾在當(dāng)年的架構(gòu)日上發(fā)布了Foveros 3D封裝技術(shù),將芯片堆疊從堆疊存儲(chǔ)器和無源轉(zhuǎn)接板擴(kuò)展到高性能邏輯芯片上。該技術(shù)可以將芯片分為chiplet,其中I/O、SRAM和供電電路可以放在基板上,邏輯chiplet則可以堆疊在芯片頂部。

▲英特爾Foveros示意圖(來源:英特爾)

緊接著,2019年7月,英特爾向行業(yè)分享了新的三大先進(jìn)封裝技術(shù),分別為Co-EMIB、ODI和MDIO。

其中Co-EMIB允許將兩個(gè)或多個(gè)Foveros封裝產(chǎn)品互連,其性能基本上與單個(gè)芯片相同。設(shè)計(jì)人員還可以用高帶寬和低功耗連接模擬、存儲(chǔ)器和其他磁貼。

ODI是一種全向的互連技術(shù),水平上可以讓頂部芯片實(shí)現(xiàn)類似EMIB的通信,垂直上可以基于硅通孔實(shí)現(xiàn)類似Foveros的垂直通信,且允許直接從封裝基板向頂部芯片供電。

MDIO則是基于高級接口總線(AIB)的PHY級互連,實(shí)現(xiàn)了模塊化設(shè)計(jì)方法。其電源效率、引腳速度和帶寬密度是AIB提供的兩倍以上,號稱在頻寬密度上優(yōu)于臺(tái)積電的LIPINCON互連技術(shù)。

如今,英特爾的EMIB和Foveros都已進(jìn)行了多次迭代。Sapphire Rapids成為英特爾首個(gè)批量出貨的至強(qiáng)數(shù)據(jù)中心處理器,下一代EMIB的凸點(diǎn)間距也將從55μm縮短至45μm。Foveros已經(jīng)實(shí)現(xiàn)了在Meteor Lake中的第二代部署,具有36μm的凸點(diǎn)間距。

此外,英特爾還在研發(fā)下一代Foveros技術(shù)Foveros Omni和Foveros Direct。

▲英特爾Foveros Omni和Foveros Direct(來源:英特爾)

前者能夠通過高性能3D堆疊技術(shù)為裸片到裸片的互連和模塊化設(shè)計(jì)提供極高的靈活性,將不同晶圓制程節(jié)點(diǎn)的頂片與多個(gè)基片混合搭配,預(yù)計(jì)2023年進(jìn)入量產(chǎn)產(chǎn)品;后者則實(shí)現(xiàn)了向直接銅對銅鍵合的轉(zhuǎn)變,可以實(shí)現(xiàn)低電阻互連和10μm以下的凸點(diǎn)間距,將3D堆疊的互連密度提高了一個(gè)數(shù)量級。

除了技術(shù),英特爾甚至連封裝客戶都已經(jīng)找好了。在去年的英特爾架構(gòu)日上,AWS宣布將成為首個(gè)使用英特爾代工服務(wù)(IFS)封裝解決方案的客戶。

04.結(jié)語:先進(jìn)封裝攪亂產(chǎn)業(yè)格局異構(gòu)集成或發(fā)揮更大作用

隨著摩爾定律發(fā)展放緩,晶體管密度提升的難度越來越大。為了滿足各類新興技術(shù)的需求,先進(jìn)封裝技術(shù)成為了芯片廠商優(yōu)化芯片性能和成本的重要方式。

如今,英特爾、三星、臺(tái)積電等芯片制造巨頭都在加強(qiáng)自己的先進(jìn)封裝。封測廠商卻難以具備前端制造的優(yōu)勢,很多封測玩家在先進(jìn)封裝上已落后于第一梯隊(duì)。雖然三星等制造巨頭仍強(qiáng)調(diào)和封測玩家的合作,但未來封裝行業(yè)的走勢難以預(yù)料。

而隨著先進(jìn)封裝技術(shù)的迭代、chiplet標(biāo)準(zhǔn)的推廣,不同玩家、不同制程tile集成的異構(gòu)集成芯片或?qū)⒏映R?,芯片行業(yè)正走向一個(gè)新的階段。

本文為轉(zhuǎn)載內(nèi)容,授權(quán)事宜請聯(lián)系原著作權(quán)人。

臺(tái)積電

5.7k
  • 美光將買友達(dá)臺(tái)南兩座廠區(qū)?雙方回應(yīng):不予評論
  • 美股半導(dǎo)體股盤前多數(shù)上漲,中概股普漲

評論

暫無評論哦,快來評價(jià)一下吧!

下載界面新聞

微信公眾號

微博

火拼先進(jìn)封裝,臺(tái)積電英特爾三星急了

蘋果拼裝芯片只是前奏,3月已被先進(jìn)封裝刷屏。

文 | 芯東西 高歌

編輯 | Panken

芯東西3月17日報(bào)道,本周二,英特爾宣布在歐盟投資超過330億歐元,除了芯片制造外,還將在意大利投資高達(dá)45億歐元的后端制造設(shè)施。據(jù)悉,該工廠將“采用新技術(shù)和創(chuàng)新技術(shù)”為歐盟提供產(chǎn)品。

事實(shí)上3月以來,英特爾、臺(tái)積電、三星在先進(jìn)封裝上的動(dòng)作就反復(fù)刷屏。

3月初,英特爾、臺(tái)積電、三星和日月光等十大巨頭宣布成立通用芯片互連標(biāo)準(zhǔn)——UCIe,將Chiplet(芯粒、小芯片)技術(shù)標(biāo)準(zhǔn)化。這一標(biāo)準(zhǔn)同樣提供了“先進(jìn)封裝”級的規(guī)范,涵蓋了EMIB和InFO等所有基于高密度硅橋的技術(shù)。

UCIe成立的同一天,英國AI芯片創(chuàng)企Graphcore推出IPU產(chǎn)品Bow。該芯片通過采用臺(tái)積電的3D封裝技術(shù),在完全不改變軟件和芯片內(nèi)核的情況下,將運(yùn)算速度提升了40%并降低了16%的功耗。

▲Graphcore IPU芯片中的封裝示意圖(圖片來源:IEEE)

上周日,韓媒也爆出,三星電子在DS(半導(dǎo)體事業(yè)暨裝置解決方案)事業(yè)部內(nèi)新設(shè)立了測試與封裝(TP)中心。韓媒認(rèn)為,該中心的設(shè)立和人員調(diào)整,或意味著三星電子將加強(qiáng)先進(jìn)封裝投資,確保在后端領(lǐng)域上領(lǐng)先于臺(tái)積電。

甚至就連月初蘋果春季發(fā)布會(huì)上重磅芯片M1 Ultra的架構(gòu)背后,也有著臺(tái)積電第五代CoWoS Chiplet先進(jìn)封裝技術(shù)。

▲蘋果公司Chiplet專利與M1 Ultra(參考專利US 20220013504A1)

事實(shí)上,隨著摩爾定律臨近極限,先進(jìn)封裝已成為提升芯片性能的重要路徑之一。

根據(jù)法國市場咨詢公司Yole Developpement最新的2021年年度高端封裝報(bào)告,英特爾等市場龍頭在先進(jìn)封裝上的資本支出約為119億美元,第一名、第二名和第四名分別是英特爾、臺(tái)積電和三星電子三大芯片制造巨頭,其支出占比之和達(dá)67%。

▲2021年七大廠商的高端封裝支出(圖片來源:Yole)

雖然現(xiàn)代半導(dǎo)體行業(yè)形成了設(shè)計(jì)、制造和封裝等環(huán)節(jié),但是在最先進(jìn)的封裝技術(shù)上,三大芯片制造巨頭正在掌握最主要的話語權(quán),其先進(jìn)封裝技術(shù)布局已進(jìn)入關(guān)鍵節(jié)點(diǎn)。

01.臺(tái)積電:2011年入局先進(jìn)封裝2.5D封裝技術(shù)搶下蘋果訂單

作為晶圓制造龍頭,臺(tái)積電也是最早開始布局先進(jìn)封裝的上游廠商之一。早在2011年,臺(tái)積電的余振華就面對媒體放聲:“封測廠已經(jīng)跟不上晶圓代工的腳步了,摩爾定律都開始告急了,我們與其在里面干著急,不如做到外面去?!?/p>

余振華早在1994年就加入了臺(tái)積電,現(xiàn)在已是臺(tái)積電Pathfinding for System Integration副總經(jīng)理,是臺(tái)積電先進(jìn)封裝技術(shù)的具體負(fù)責(zé)人。

在2011年第二季度的法說會(huì)上,時(shí)任臺(tái)積電董事長兼首席執(zhí)行官的張忠謀公開了臺(tái)積電的先進(jìn)封裝進(jìn)度。他提到臺(tái)積電已經(jīng)完成了一個(gè)完整子系統(tǒng)的制造和封裝,其硅中介層(silicon interposer)解決方案將封裝數(shù)量從9減少到1,減小了芯片體積和功率,提升了內(nèi)存帶寬和系統(tǒng)速度。

同時(shí),臺(tái)積電也首次向投資者披露了BOT封裝專利產(chǎn)品,將襯底的凸點(diǎn)間距從140微米減小到100微米,還顯著節(jié)省了封裝成本。

當(dāng)年第三季度法說會(huì),臺(tái)積電正式宣布要做CoWoS等先進(jìn)封裝技術(shù)。張忠謀特意強(qiáng)調(diào),臺(tái)積電在這一領(lǐng)域的商業(yè)模式:一是提供頂尖邏輯晶圓制程、晶圓測試(wafer sort)和微封裝,二是提供后端集成解決方案、中介層晶圓(interposer wafer)、最終的封裝和測試。張忠謀稱:“我們不打算只出售(CoWoS的)中介層?!?/p>

在CoWoS技術(shù)推出后,2012年FPGA龍頭賽靈思的產(chǎn)品就用到了這一技術(shù)。此后,華為海思、英偉達(dá)、博通等廠商的芯片中都應(yīng)用到了臺(tái)積電的CoWoS封裝技術(shù)。

如今十余年過去,CoWoS已發(fā)展到第五代,臺(tái)積電已將自身的先進(jìn)封裝技術(shù)整合為了3DFabric技術(shù)平臺(tái),包含臺(tái)積電前端的SoIC技術(shù)和后端CoWoS、InFO封裝技術(shù)。

▲臺(tái)積電3DFabric技術(shù)平臺(tái)(圖片來源:臺(tái)積電2021 HotChips論壇PPT)

據(jù)悉,最早推出的CoWoS是一種基于TSV(硅通孔)的封裝技術(shù),由于這種技術(shù)能夠靈活地適應(yīng)SoC、小芯片和3D堆棧等多個(gè)類型的芯片,因此被主要用于高性能計(jì)算(HPC)和人工智能(AI)計(jì)算領(lǐng)域。

如今CoWoS是使用最廣泛的2.5D封裝技術(shù),英偉達(dá)、博通、谷歌、亞馬遜、NEC、AMD、賽靈思、Habana等公司的產(chǎn)品都采用了這一技術(shù)。絕大多數(shù)使用HBM的高性能芯片,包括大部分創(chuàng)企的AI訓(xùn)練芯片都是應(yīng)用了CoWoS技術(shù)。

CoWoS可以分為CoWoS-S、CoWoS-R和CoWoS-L三種。

臺(tái)積電稱,CoWoS-S可以為高性能計(jì)算應(yīng)用提供最佳的性能和最高的晶體管密度;CoWoS-R則更強(qiáng)調(diào)小芯片間的互連,利用RDL(重新布線層)實(shí)現(xiàn)最小4μm的布線;CoWoS-L則是最新的CoWoS技術(shù),結(jié)合了CoWoS-S和InFO兩種技術(shù)的優(yōu)點(diǎn),使用RDL與LSI(本地硅互連)進(jìn)行互連,具有最靈活的集成性。

▲臺(tái)積電CoWoS-S封裝技術(shù)(圖片來源:臺(tái)積電2021 HotChips論壇PPT)

InFO具有高密度的RDL,可用于移動(dòng)、高性能計(jì)算等需要高密度互連和性能的應(yīng)用。

InFO分為InFO_PoP和InFO_oS,前者是行業(yè)中首款3D晶圓級扇出封裝,可應(yīng)用在移動(dòng)手機(jī)的AP和DRAM上;后者具有更高密度的RDL,可集成多個(gè)用于5G網(wǎng)絡(luò)的邏輯芯片。

▲臺(tái)積電InFO_PoP和InFO_oS封裝技術(shù)示意圖(圖片來源:臺(tái)積電官網(wǎng))

相對來說,CoWoS的性能更好,但成本較高;InFO則采用RDL(重新布線層)代替硅中介層,無須TSV,性價(jià)比更高。這一技術(shù)還幫助臺(tái)積電搶下了如今其第一大客戶蘋果的訂單。

事實(shí)上,2007年蘋果的第一款智能手機(jī)芯片便是由三星進(jìn)行代工。2011年,在蘋果和三星因Galaxy S手機(jī)外形問題鬧上法庭之際,蘋果A系列芯片的主要供應(yīng)商仍是三星。不過,隨著蘋果和三星關(guān)系的惡化以及臺(tái)積電代工制程功耗、良率更加穩(wěn)定,臺(tái)積電成為了蘋果的主要供應(yīng)商。

2016年,臺(tái)積電開始為蘋果提供前后段整合服務(wù),僅花InFO和光罩上的資本支出達(dá)10億美元。據(jù)熟悉臺(tái)積電的人士透露,由于InFO技術(shù)的產(chǎn)品更符合蘋果要求,臺(tái)積電才能拉開和三星的差距,長期獨(dú)占蘋果iPhone芯片訂單。

整體來說,倒裝芯片(Flip chip)、2.5D/3DIC和SoIC等技術(shù)的封裝密度依次升高。

▲臺(tái)積電Flip Chip、2.5D/3DIC、SoIC等封裝技術(shù)封裝密度和鍵合間距

相比CoWoS和InFO技術(shù),SoIC可以提供更高的封裝密度和更小的鍵合間隔。

SoIC是臺(tái)積電異構(gòu)小芯片封裝的關(guān)鍵,具有高密度垂直堆疊性能。臺(tái)積電稱,該技術(shù)可幫助芯片實(shí)現(xiàn)高性能、低功耗和最小的RLC(電阻、電感和電容)。

從特點(diǎn)上講,SoIC技術(shù)支持不同芯片尺寸、功能和制程節(jié)點(diǎn)的異構(gòu)集成,能夠直接實(shí)現(xiàn)晶圓對晶圓結(jié)合,且沒有突起的鍵和結(jié)構(gòu)。臺(tái)積電認(rèn)為,該技術(shù)較行業(yè)中的其他先進(jìn)封裝技術(shù),具有更小的外形尺寸、更高的帶寬、更好的電源完整性、信號完整性和更低的功耗等優(yōu)點(diǎn)。

更重要的是,SoIC和CoWoS/InFO可以共用,基于SoIC的CoWoS或InFO封裝將會(huì)帶來更小的芯片尺寸,實(shí)現(xiàn)多個(gè)小芯片集成。

▲臺(tái)積電SoIC技術(shù)示意圖(圖片來源:臺(tái)積電官網(wǎng))

02.三星:三星電機(jī)發(fā)起四大技術(shù)布局先進(jìn)封裝

三星電子先進(jìn)封裝布局則源自子公司三星電機(jī),并和安靠(Amkor)等封測廠商進(jìn)行合作。

競爭蘋果A系列處理器訂單失利后,三星電子在2015年建立了特別工作小組,以三星電機(jī)為主力,開發(fā)出了第一代面板級扇出型封裝(FOPLP)。

該技術(shù)最先用于Galaxy Watch智能手表。通過FOPLP技術(shù),三星將Galaxy Watch的電源管理電路(PMIC)、應(yīng)用處理器和動(dòng)態(tài)隨機(jī)存儲(chǔ)(DRAM)集成在了同一個(gè)大型封裝中。

▲Galaxy手表及Exynos 9110拆解與逆向分析(圖片來源:MEMS)

據(jù)韓媒報(bào)道,盡管三星電機(jī)在2019年之前投資4億美元研發(fā)先進(jìn)封裝,但其投資力度仍顯不足。因此三星電子進(jìn)行內(nèi)部收購,或?qū)⑷请姍C(jī)的PLP事業(yè)部歸入了自身,以重奪蘋果訂單。

不過從三星電子在先進(jìn)封裝領(lǐng)域的最新動(dòng)態(tài)來看,子公司三星電機(jī)仍是其先進(jìn)封裝版圖的重要組成。

具體來說,三星的先進(jìn)封裝包括I-Cube、X-Cube、R-Cube和H-Cube四種方案。

▲三星電子I-Cube(左上)、X-Cube(右上)、R-Cube(左下)和H-Cube(右下)四種先進(jìn)封裝方案(圖片來源:三星官網(wǎng))

I-Cube包括基板-芯片(CoS)或晶圓-芯片(CoW)兩種工藝,是采用硅中介層的2.5D封裝方案,能夠?qū)⒁粋€(gè)或多個(gè)邏輯裸片(CPU、GPU等)和多個(gè)高帶寬內(nèi)存(HBM)裸片水平集成在硅中介層上,“I-Cube4”已經(jīng)在去年5月推出,和臺(tái)積電的CoWoS-S技術(shù)類似,主要的封裝客戶為百度。

R-Cube為三星的低成本2.5D封裝方案,采用高密度的RDL技術(shù),較I-Cube具有更快的周轉(zhuǎn)時(shí)間和更好的信號/電源完整性,設(shè)計(jì)靈活性較好。

X-Cube是三星的3DIC封裝方案,包括晶圓-芯片(CoW)、晶圓-晶圓(WoW)和硅通孔(TSV)技術(shù),具備更高密度的集成和更大的尺寸縮放。

H-Cube則是三星電子在2021年11月最新推出的2.5D封裝解決方案,專用于需要高性能和大面積封裝技術(shù)的高性能計(jì)算(HPC)、人工智能(AI)、數(shù)據(jù)中心和網(wǎng)絡(luò)產(chǎn)品等領(lǐng)域。

▲三星H-Cube封裝解決方案(圖片來源:三星)

三星電子晶圓代工市場戰(zhàn)略部高級副總裁Moonsoo Kang稱,該解決方案是由三星電機(jī)和安靠(Amkor)公司共同開發(fā)。Amkor全球研發(fā)中心高級副總裁也認(rèn)為這次合作,是晶圓代工廠和OSAT(封測)公司合作的成功案例。

對于自己的先進(jìn)封裝產(chǎn)品,三星電子提供了兩種商業(yè)模式。第一種,其客戶可以選擇三星電子晶圓代工部門的封裝產(chǎn)品或安靠等封測合作伙伴產(chǎn)品;第二種,客戶則可以移交COT(客戶擁有的工具)、COPD(客戶擁有的物理設(shè)計(jì))模型獲得。

上周日,據(jù)韓媒報(bào)道,三星電子在DS(半導(dǎo)體事業(yè)暨裝置解決方案)事業(yè)部內(nèi)新設(shè)立了測試與封裝(TP)中心,意圖與臺(tái)積電在先進(jìn)封裝領(lǐng)域進(jìn)行競爭。

03.英特爾:下一代Foveros技術(shù)2023年量產(chǎn)AWS成首個(gè)IFS封裝客戶

和臺(tái)積電、三星類似,英特爾的先進(jìn)封裝技術(shù)同樣包括2.5D和3D的封裝技術(shù)。不過不同于三星和臺(tái)積電,英特爾一直都有自己的封測業(yè)務(wù)。

2003年,英特爾宣布在中國成都投資建設(shè)封裝廠,2005年該廠投產(chǎn)。之后,英特爾逐漸將封測業(yè)務(wù)逐漸向中國轉(zhuǎn)移。

2014年以前,英特爾就有了2.5D封裝技術(shù)嵌入式多裸片互連橋接(Embedded Multi-die Interconnect Bridge,EMIB)。英特爾稱,該技術(shù)不同于其他2.5D封裝技術(shù),不采用大型硅中介層,而是使用非常小的bridge die,具有更好的經(jīng)濟(jì)性。

▲英特爾EMIB示意圖(來源:英特爾)

2014年,英特爾開放代工業(yè)務(wù),其先進(jìn)封裝布局開始向外界披露。

在EMIB正式披露后不久,當(dāng)時(shí)英特爾代工業(yè)務(wù)的重磅客戶、FPGA龍頭Altera推出了行業(yè)中第一款異構(gòu)系統(tǒng)級封裝芯片,集成了SoC、Stratix10 FPGA和SK海力士的HBM2。

這顆芯片利用英特爾的EMIB技術(shù),實(shí)現(xiàn)了DRAM與FPGA的互連問題,初步向外界展示了英特爾先進(jìn)封裝的性能。自2017年至今,英特爾的EMIB產(chǎn)品一直在出貨且不斷迭代。

2018年,英特爾在當(dāng)年的架構(gòu)日上發(fā)布了Foveros 3D封裝技術(shù),將芯片堆疊從堆疊存儲(chǔ)器和無源轉(zhuǎn)接板擴(kuò)展到高性能邏輯芯片上。該技術(shù)可以將芯片分為chiplet,其中I/O、SRAM和供電電路可以放在基板上,邏輯chiplet則可以堆疊在芯片頂部。

▲英特爾Foveros示意圖(來源:英特爾)

緊接著,2019年7月,英特爾向行業(yè)分享了新的三大先進(jìn)封裝技術(shù),分別為Co-EMIB、ODI和MDIO。

其中Co-EMIB允許將兩個(gè)或多個(gè)Foveros封裝產(chǎn)品互連,其性能基本上與單個(gè)芯片相同。設(shè)計(jì)人員還可以用高帶寬和低功耗連接模擬、存儲(chǔ)器和其他磁貼。

ODI是一種全向的互連技術(shù),水平上可以讓頂部芯片實(shí)現(xiàn)類似EMIB的通信,垂直上可以基于硅通孔實(shí)現(xiàn)類似Foveros的垂直通信,且允許直接從封裝基板向頂部芯片供電。

MDIO則是基于高級接口總線(AIB)的PHY級互連,實(shí)現(xiàn)了模塊化設(shè)計(jì)方法。其電源效率、引腳速度和帶寬密度是AIB提供的兩倍以上,號稱在頻寬密度上優(yōu)于臺(tái)積電的LIPINCON互連技術(shù)。

如今,英特爾的EMIB和Foveros都已進(jìn)行了多次迭代。Sapphire Rapids成為英特爾首個(gè)批量出貨的至強(qiáng)數(shù)據(jù)中心處理器,下一代EMIB的凸點(diǎn)間距也將從55μm縮短至45μm。Foveros已經(jīng)實(shí)現(xiàn)了在Meteor Lake中的第二代部署,具有36μm的凸點(diǎn)間距。

此外,英特爾還在研發(fā)下一代Foveros技術(shù)Foveros Omni和Foveros Direct。

▲英特爾Foveros Omni和Foveros Direct(來源:英特爾)

前者能夠通過高性能3D堆疊技術(shù)為裸片到裸片的互連和模塊化設(shè)計(jì)提供極高的靈活性,將不同晶圓制程節(jié)點(diǎn)的頂片與多個(gè)基片混合搭配,預(yù)計(jì)2023年進(jìn)入量產(chǎn)產(chǎn)品;后者則實(shí)現(xiàn)了向直接銅對銅鍵合的轉(zhuǎn)變,可以實(shí)現(xiàn)低電阻互連和10μm以下的凸點(diǎn)間距,將3D堆疊的互連密度提高了一個(gè)數(shù)量級。

除了技術(shù),英特爾甚至連封裝客戶都已經(jīng)找好了。在去年的英特爾架構(gòu)日上,AWS宣布將成為首個(gè)使用英特爾代工服務(wù)(IFS)封裝解決方案的客戶。

04.結(jié)語:先進(jìn)封裝攪亂產(chǎn)業(yè)格局異構(gòu)集成或發(fā)揮更大作用

隨著摩爾定律發(fā)展放緩,晶體管密度提升的難度越來越大。為了滿足各類新興技術(shù)的需求,先進(jìn)封裝技術(shù)成為了芯片廠商優(yōu)化芯片性能和成本的重要方式。

如今,英特爾、三星、臺(tái)積電等芯片制造巨頭都在加強(qiáng)自己的先進(jìn)封裝。封測廠商卻難以具備前端制造的優(yōu)勢,很多封測玩家在先進(jìn)封裝上已落后于第一梯隊(duì)。雖然三星等制造巨頭仍強(qiáng)調(diào)和封測玩家的合作,但未來封裝行業(yè)的走勢難以預(yù)料。

而隨著先進(jìn)封裝技術(shù)的迭代、chiplet標(biāo)準(zhǔn)的推廣,不同玩家、不同制程tile集成的異構(gòu)集成芯片或?qū)⒏映R?,芯片行業(yè)正走向一個(gè)新的階段。

本文為轉(zhuǎn)載內(nèi)容,授權(quán)事宜請聯(lián)系原著作權(quán)人。